[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] VHDL to Verilog



I remember I have seen one on 

http://www.x-tekcorp.com/products.htm

VHDL to Verilog and Verilog to VHDL converter.

But never used. 
Give a try 




--Ajit Madhekar
ControlNet India Pvt Ltd.
Goa, India
www.controlnetindia.com










----- Original Message ----- 
From: Rudolf Usselmann <rudi@inet.co.th>
To: <cores@opencores.org>
Sent: Thursday, July 06, 2000 2:04 PM
Subject: [oc] VHDL to Verilog


> 
> I'm sure this is an old question ... BUT after searching the net
> and discussion groups I could not find any answers ...
> 
> So, does anyone know of a tool that does some basic syntax conversion ?
> 
> I feel we need a tool that can go both ways, specially for opencores, so
> that users of both, verilog and vhdl can use all cores ...
> 
> Thanks a million !
> rudi