[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] CAN core finished.




hello Holger Baxmann,

Can we connect a FPGA prototype through TINI

regards,
sobaan

Hol
ger Baxmann wrote:
> 
> Thanks a lot!
> 
> The CAN bus is _the_ connector to my Dallas TINI device, the first step
> toward Java2EE on a FPGA.
> 
> bax
> 
> > I am interested in this CAN core. and where I can download it.
> > Thank you very much!
> >
> > ----- Original Message -----
> > From: "Igor Mohor\(opencores\)" <igorm@o... >
> > To: <cores@o... >
> > Date: Wed, 19 Feb 2003 21:50:28 +0100
> > Subject: [oc] CAN core finished.
> >
> >> Hi, guys.
> >>
> >>
> >>
> >> CAN Controller in now completely finished. All known issues solved.
> >>
> >> Feel free to download it, verify it, write a testbenchˇ­
> >>
> >>
> >>
> >> Regards,
> >>
> >>           ?Igor
> >>
> > --
> > To unsubscribe from cores mailing list please visit
> > http://www.opencores.org/mailinglists.shtml
> >
> 
> --
> To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml