[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Verilog coding style for Open Cores-RTL - Case in point SHA1



On Friday 16 May 2003 06:47 pm, Damjan Lampret wrote:
> There is a document that tries to address exactly this issue. It is called
> OpenCores Coding Guidelines. 

And it is not that hard to put together a piece of software that re-formats 
the code to a coding style, which one runs before submitting it into the CVS. 
(Note, NO GOOD to constantly changing style, as CVS will think it is a 
modification, and history of changes gets lost in the styling noise.)


Niclas
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml